A generic is used to parameterize a design entity. They support static information to blocks in a similar
way as
constants, but unlike constants the values of generics can be supplied externally.
Different instances
of the
same design entity can have different values for the generic parameters. Generics are given values in
the
generic map of an instance.
Values supported by generics declared in an entity can be read either in the entity or in the associated
architectures.
The generics of an entity must be duplicated in the corresponding component, to allow instances of the
component
to be configured implicitly via the default rules.
We can write a generic entity by including a generic list in its declaration that
defines the formal generic constants that parameterize the entity.
The generic interface list is like a parameter list, but with the restriction that we
can only include constant-class objects, which must be of mode in.
generic ( generic_name, ... : data_type [ := expression ] );
generic (BusWidth: integer := 16;
Length, Size: positive);
We can use of generic constants in entities is to parameterize their structure .
We can use the value of a generic constant to specify the size of an array port by using
the generic constant in constraints in the port declarations.
A generic map gives the value to a generic. Usually given in an instance but can also appear in a configuration.
The values can be given via positional association or via named association.
Use of named association is advised to improve readability and reduce the risk of making errors.
A generic map associates values with the formal generics fo a block.
generic map ( [ generic_name => ] expression, ... )
entity reg is
generic ( width : positive );
port ( d : in bit_vector(0 to width - 1);
q : out bit_vector(0 to width - 1)
);
end entity reg;
-- architecture here
architecture behaviour of reg is
begin
...;
...;
....;
end architecture behaviour;
In this declaration we require that the user of the register specify the desired port
width for each instance.
The entity then uses the width value as a constraint on both
the input and output ports.
A component instantiation with Generic Constant using this entity might appear like this
architecture Structure of Top is
component CompA
generic (width : positive);
port ( d : in bit_vector(0 to width - 1);
q : out bit_vector(0 to width - 1)
);
end component;
begin
dut:entity generic map (32)
port map (d => in_data, q => out_data);
end architecture Structure;