A configuration specification is a construct that defines which entity and architecture is used in
place of the instances of a single component during elaboration.
Each component instantiation refers to some design entity (entity/architecture pair) and the association is
specified by a configuration specification.
Component specification appears in the declarative part of the
unit, where the instances are used.
This way components can be configured within the architecture which
instances them without using a separate configuration declaration.
Configuration specifications are inflexible because changing the configuration requires
editing the architecture containing the configuration.
It is usually better to use separate configuration
declarations.
When the ports and generics in the component declaration are not equal to their counterparts in the entity
declaration,
one can use an explicit notification on how the ports and generics in the entity should be
bound to ports and generics of the component instance. The generic map and port map are used for this purpose.
The configuration specification is typically placed at the beginning of a VHDL design file, before the entity
declaration.
configuration configuration_name of entity_name is
use configuration ibrary_name.configuration_name;
end configuration_name;
configuration my_config of top_entity is
use configuration work.mux_config;
end my_config;
entity TopEntity is
port (
sel : in std_logic;
a, b : in std_logic;
y : out std_logic
);
end entity TopEntity;
architecture top_arch of TopEntity is
component Mux is
port (
sel : in std_logic;
a, b : in std_logic;
y : out std_logic
);
end component;
begin
mux_inst : Mux
port map (
sel => sel,
a => a,
b => b,
y => y
);
end architecture top_arch;
In this example: