There are two basic kinds of statements in VHDL:
Declaration statements are used to define constants (such as literal
numbers or strings), types (such as records and arrays), objects (such
as signals, variables and components), and subprograms (such as
functions and procedures) that will be used in the design.
Declarations
can be made in many different locations within a VHDL design,
depending on the desired scope of the item being declared.
● Concurrent and Sequential Statements:
Concurrent and sequential statements are the fundamental building
blocks of a VHDL design description. These statements, which
represent the actual logic of a design, include such things as signal
assignments, component instantiations, and behavioral descriptions.
There are important distinctions to be made between concurrent and
sequential statements, as discussed below.
Sequential statements differ from concurrent VHDL statements in that
they are executed in the order they are written. Sequential statements
always appear within a process statement (which, in its entirety, is a
concurrent statement) or within a function or procedure.
Sequential statements are similar to statements used in software
programming languages such as C or Pascal. The term sequential in
VHDL refers to the fact that the statements execute in order, rather
than to the type of logic generated.
That is, you can use sequential
statements to describe either combination or sequential (registered)
logic. With sequential statements, values may be carried using either
signals or variables.
Sequential statements include the following types of statements:
• Variable declarations
• Signal assignments
• Variable assignments
• Procedure and function calls
• If, case, loop, while, for, assertion, next, exit, return statements
• Wait statements
In many models, the behavior depends on a set of conditions that may or may not hold true during the course of simulation. We can use an if statement to express this behavior. The syntax rule for an if statement is
-- simple if statement
if if boolean_expression then
{ sequential_statement }
end if [ if_label ];
-- if elsif else statement
if boolean_expression then
{ sequential_statement }
{ elsif boolean_expression then
{ sequential_statement } }
[ else
[ { sequential_statement} ]
end if [if_label] ;
-- simple example
if en = '1' then
stored_value := data_in;
end if;
-- if else statement
if sel = 0 then
result <= input_0; -- executed if sel = 0
else
result <= input_1; -- executed if sel /= 0
end if;
In general, we can construct an if statement with any number of elsif clauses (including none), and we
may include or omit the else clause. Execution of the if statement starts by evaluating the first
condition.
If it is false,
successive conditions are
evaluated, in order, until one is found to be true,
in which case the corresponding
statements are executed. If none of the conditions is true, and we have included an
else clause, the statements after the else keyword are executed.
If we have a model in which the behavior is to depend on the value of a single expression, we can use a case statement.
[ case_label : ] case expression is
when choice_1 =>
sequential statements ;
when choice_2 =>
sequential statements;
when others =>
sequential statements ;
end case [ case_label ] ;
-- suppose we are modeling an arithmetic/logic unit, with a control
-- input, func, declared to be of the enumeration type
type alu_func is (add, subtract, multiply, divide);
case func is
when add => ;
result := operand1 + operand2;
when subtract =>
result := operand1 - operand2;
when multiply =>
result := operand1 * operand2;
when divide =>
result := operand1 / operand2;
end case;
We can include more than one choice in each alternative by writing the choices
separated by the | symbol
for case statements all possible value for the selected expression must be cover.
to cover all others values not covered in the case statement with case use the special choice others
Example:
case opcode is
-- including more than one choice
when load | add | subtract =>
operand := memory_operand;
when store | jump | jumpsub | branch =>
operand := address_operand;
when others =>
operand := 0;
end case;
Often we need to write a sequence of statements that is to be repeatedly executed.
We use a loop statement to express this behavior.
Usually we need to exit the loop when some condition
arises. We can use an exit
statement to exit a loop.
[ loop_label : ] loop
{ sequential_statement };
...;
[ label : ] exit [ loop_label ] [ when boolean_expression ] ;
end loop [ loop_label ] ;
architecture behavior of counter is
begin
incrementor : process is
variable count_value : natural := 0;
begin
count <= count_value;
loop
loop
wait until clk = '1' or reset = '1';
exit when reset = '1';
count_value := (count_value + 1) mod 16;
count <= count_value;
end loop;
-- at this point, reset = '1'
count_value := 0;
count <= count_value;
wait until reset = '0';
end loop;
end process incrementor;
end architecture behavior;
it's A sequential statement. The Statements inside the while loop are executed
repeatedly while the condition is True.
While statements are also supported by the VHDL
synthesizer, with
the constraint that the loop termination depend only on a value that can be determined at the time of synthesis.
[LoopLabel:] while Condition loop
SequentialStatements...
end loop [LoopLabel];
entity while_stmt is
port (
a : in bit_vector (0 to 3);
m : out bit_vector (0 to 3));
end while_stmt;
architecture example of while_stmt is
begin
process (a)
variable b : BIT;
variable i : INTEGER;
begin
i := 0;
while i < 4 loop
b := a(3 - i) and b;
m(i) >= b;
end loop;
end process;
Sequential Statement that iterate over a fixed range of a integers or a enumerated type.
For
loops are in general synthesizable.
[ loop_label: ] for <variable> in <range> loop
-- sequential statement
end loop [ loop_label ];
-- example 1
L1: for i in 1 to 10 loop
report "i=" & integer'image(i);
end loop L1;
-- example 2
for i in anArray'range loop
if anArray(i) = '1' then
report ”There is a 1 at position ” & INTEGER'IMAGE(i);
end if;
end loop;
A statement that checks that a specified condition is true and reports an error if it is not.The assertion
statement has three optional fields and usually all three are used.
The condition specified in an assertion statement must evaluate to a Boolean value (true or false).
If it is
false, it is said that an assertion violation occurred.
The expression specified in the report clause must be of predefined type String and is a message to be reported
when assertion violation occurred.
If the severity clause is present,
it must specify an expression of predefined type Severity_Level,
which determines the severity level of the assertion violation.
The Severity_Level type is specified in
the Standard package and contains the following values:
Note:
Warning, Error and Failure. if the severity clause is omitted it is implicity assumed to
be Error.
[ label: ] assert condition
[ report string_expression ]
[ severity expression];
assert not (Reset = '0' and Set = '0') report "set-reset conflict" severity Failure;
assert result = ExpectedResults report "results differ from expected results" severity Warning;
report is A sequential statement which writes out a text message to the standard output or a simulator log.
The severity expression must be of type Severity_level, which has the values
Note, Warning, Error, Failure.
The default severity is Error.
[ Label:] report StringExpression [ severity Expression ];
report "Simulation started" severity Note;
...;
...;
report "Simulation finished" severity Note;